MediaTek Successfully Develops First Chip Using TSMC’s 3nm Process, Set for Volume Production in 2024

HomeTech NewsMediaTek Successfully Develops First Chip Using TSMC's 3nm Process, Set for Volume Production in 2024

HIGHLIGHTS

* MediaTek has announced its first 3nm processor, developed in collaboration with TSMC.
* The new 3nm technology is capable of producing 18% faster processors, as compared to the current chipsets.
* MediaTek will launch its 3nm processors in the second half of 2024.

MediaTek and TSMC (TWSE: 2330, NYSE: TSM) today announced that MediaTek has successfully developed its first chip using TSMC’s leading-edge 3nm technology, taping out MediaTek’s flagship Dimensity system-on-chip (SoC) with volume production expected next year.

This marks a significant milestone in the long-standing strategic partnership between MediaTek and TSMC, with both companies taking full advantage of their strengths in chip design and manufacturing to jointly create flagship SoCs with high performance and low power features, empowering global end devices.

MediaTek Successfully Develops First Chip Using TSMC's 3nm Process
MediaTek Successfully Develops First Chip Using TSMC’s 3nm Process

“We are committed to our vision of using the world’s most advanced technology to create cutting edge products that improve our lives in meaningful ways,” said Joe Chen, President of MediaTek. “TSMC’s consistent and high-quality manufacturing capabilities enable MediaTek to fully demonstrate its superior design in flagship chipsets, offering the highest performance and quality solutions to our global customers and enhancing the user experience in the flagship market.”

MediaTek’s first flagship chipset
MediaTek’s chipset

 “This collaboration between MediaTek and TSMC on MediaTek’s Dimensity SoC means the power of the industry’s most advanced semiconductor process technology can be as accessible as the smartphone in your pocket,” said Dr. Cliff Hou, Senior Vice President of Europe and Asia Sales at TSMC. “Throughout the years, we have worked closely with MediaTek to bring numerous significant innovations to the market and are honored to continue our partnership into the 3nm generation and beyond.“

TSMC’s 3nm process technology provides enhanced performance, power, and yield, in addition to complete platform support for both high performance computing and mobile applications.

TSMC’s 3nm process technology
TSMC’s 3nm Process Technology

Compared with TSMC’s N5 process, TSMC’s 3nm technology currently offers as much as 18% speed improvement at same power, or 32% power reduction at same speed, and approximately 60% increase in logic density.

MediaTek’s Dimensity SoCs, built with industry-leading process technology, are designed to meet the ever-increasing user experience requirements for mobile computing, high-speed connectivity, artificial intelligence, and multimedia.

MediaTek’s first flagship chipset using TSMC’s 3nm process is expected to empower smartphones, tablets, intelligent cars and various other devices starting in the second half of 2024.

MediaTek’s first flagship chipset
MediaTek’s first flagship chipset

Faqs

1) MediaTek’s First 3nm Processor To Release In 2024.Details?

Ans) In a press conference held in Hsinchu, Taiwan; MediaTek made an official announcement of a new 3nm processor. This chipset is developed in partnership with TSMC, using the advanced 3nm fabrication. Currently, TSMC and Samsung are the only companies in the world to manufacture 3nm chipsets.

MediaTek did not share the exact details of the processor, such as the name and core configuration.
The upcoming Dimensity 9400 could be the first processor from MediaTek to be built on a 3nm process.
As of now, the most advanced processor by MediaTek is made on a 4nm architecture. The Dimensty 9000 was the first processor by MediaTek to use 4nm fabrication, and it was launched in early 2022.
Dr. Cliff Hou, Senior Vice President of TSMC, said that the new 3nm technology will bring in significant improvements in processors. The new architecture offers an 18% speed improvement over the latest processors, along with a 32% reduction in power consumption making them more efficient.
The shift from 4nm to 3nm also increases the transistor density by 60%, which enhances the logic performance of a processor.
TSMC says that the new 3nm technology has been in development for several years.
Apart from MediaTek, Apple is also expected to use the same 3nm fabrication technology on the upcoming A17 Bionic chipset in the iPhone 15 Series.
Apple is expected to use 3 nm-based chips in its new M-series desktop chips.

2) Is Qualcomm Competing Strongly With MediaTek?

Ans) Earlier this year, it was reported that Qualcomm is expected to split the production of its Snapdragon 8 Gen 4 processor between Samsung and TSMC. The new chipset will be made on the same 3nm architecture. However, it is worth noting that the yield of finished processors from raw silicon is just 60% for Samsung and 55% for TSMC for the new 3nm technology.
Hence, Qualcomm is looking to get supplies from both, Samsung and TSMC to keep up with the market demands of the Snapdragon 8 Gen 4. Qualcomm is expected to launch this new processor in the second half of 2024.
Qualcomm’s upcoming processor, the Snapdragon 8 Gen 3, will be using a 4nm architecture. It is expected to launch in October 2023. Xiaomi and OnePlus could be the first brands to launch devices with the Snapdragon 8 Gen 3 chipset.

Also Read: MediaTek Partners With NVIDIA to Provide Full-Scale Product Roadmap to the Automotive Industry

Also Read: MediaTek Diversifies Mobile Offerings with Dimensity 6000 Series for Mainstream 5G Devices

Latest Articles

CATEGORIES